Skip to content

Commit 3ea082c

Browse files
committed
Upd sim folders
1 parent 48953b9 commit 3ea082c

File tree

11 files changed

+197
-474
lines changed

11 files changed

+197
-474
lines changed

.gitignore

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -2,11 +2,11 @@ sim/verilator/*
22
!si5340_config_loader_tb.py
33
!si5340_config_loader.sv
44
!test.py
5-
sim/modelsim/cocotb/*
5+
sim/cocotb/*
66
!test.py
77
!si5340_config_loader_tb.py
88
!wave.do
9-
sim/modelsim/hdlmake/*
9+
sim/modelsim/*
1010
!Manifest.py
1111
!wave.do
1212
syn/*

README.md

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -51,14 +51,14 @@ py config_parser.py .\Si5340-RevD-Si5340-Registers.txt
5151
```bash
5252
py -m venv myenv
5353
.\myenv\Scripts\activate.ps1
54-
cd .\sim\modelsim\cocotb
54+
cd .\sim\cocotb
5555
py -m pytest test.py
5656
deactivate
5757
```
5858

5959
### Using hdlmake:
6060
```bash
61-
cd .\sim\modelsim\hdlmake\
61+
cd .\sim\modelsim\
6262
py -m hdlmake
6363
make
6464
```

sim/modelsim/cocotb/si5340_config_loader_tb.py renamed to sim/cocotb/si5340_config_loader_tb.py

Lines changed: 10 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,6 @@
11
import cocotb
22
import random
3+
import logging
34
from cocotb.clock import Clock
45
from cocotb.triggers import Timer, RisingEdge, FallingEdge, ClockCycles
56
from cocotb.utils import get_sim_time
@@ -9,9 +10,14 @@
910
class Test:
1011
def __init__(self, dut):
1112
self.dut = dut
13+
14+
self.log = logging.getLogger('cocotb.tb')
15+
self.log.setLevel(logging.DEBUG)
16+
1217
dut.arstn_i.setimmediatevalue(0)
1318
dut.load_i.setimmediatevalue(0)
1419
dut.write_i.setimmediatevalue(0)
20+
1521
cocotb.start_soon(Clock(self.dut.clk_i, clk_per, units = 'ns').start())
1622

1723
async def init(self):
@@ -28,24 +34,24 @@ async def write(self, n):
2834
for i in range(n):
2935
self.dut.load_i = 1
3036
self.dut.write_i = 1
31-
print(f"Load and Write at {get_sim_time('ns')} ns.")
37+
self.dut.log.info(f"Load and Write at {get_sim_time('ns')} ns.")
3238
await Timer(clk_per*2, units="ns")
3339
self.dut.load_i = 0
3440
self.dut.write_i = 0
3541
await Timer(clk_per*256, units="ns")
36-
print(f"Get cmd_ack at {get_sim_time('ns')} ns.")
42+
self.dut.log.info(f"Get cmd_ack at {get_sim_time('ns')} ns.")
3743
await Timer(clk_per*750, units="ns")
3844

3945
async def read(self, n):
4046
for i in range(n):
4147
self.dut.load_i = 1
4248
self.dut.write_i = 0
43-
print(f"Load and Read at {get_sim_time('ns')} ns.")
49+
self.dut.log.info(f"Load and Read at {get_sim_time('ns')} ns.")
4450
await Timer(clk_per*2, units="ns")
4551
self.dut.load_i = 0
4652
self.dut.write_i = 0
4753
await Timer(clk_per*256, units="ns")
48-
print(f"Get cmd_ack at {get_sim_time('ns')} ns.")
54+
self.dut.log.info(f"Get cmd_ack at {get_sim_time('ns')} ns.")
4955
await Timer(clk_per*1300, units="ns")
5056

5157
@cocotb.test()
Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -6,7 +6,7 @@
66
from cocotb.runner import get_runner
77

88
def test_runner():
9-
src = Path("../../../src")
9+
src = Path("../../src")
1010

1111
hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "verilog")
1212
sim = os.getenv("SIM", "questa")
Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -13,6 +13,6 @@
1313
],
1414
}
1515

16-
mem_file_path = Path("../../../src")
16+
mem_file_path = Path("../../src")
1717

18-
shutil.copyfile(mem_file_path / 'config.mem', 'config.mem')
18+
shutil.copyfile(mem_file_path / 'config.mem', 'config.mem')

sim/verilator/si5340_config_loader.sv

Lines changed: 0 additions & 183 deletions
This file was deleted.

sim/verilator/si5340_config_loader_tb.py

Lines changed: 0 additions & 50 deletions
This file was deleted.

sim/verilator/test.py

Lines changed: 0 additions & 57 deletions
This file was deleted.

0 commit comments

Comments
 (0)