Skip to content

Commit 02fd38a

Browse files
committed
[gatesim] initial compile clean
Initial check in after compile. Signed-off-by: Jaedon Kim <[email protected]>
1 parent d2684e9 commit 02fd38a

File tree

16 files changed

+212
-42
lines changed

16 files changed

+212
-42
lines changed

hw/dv/sv/sec_cm/sec_cm_prim_onehot_check_bind.sv

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -3,11 +3,13 @@
33
// SPDX-License-Identifier: Apache-2.0
44

55
module sec_cm_prim_onehot_check_bind ();
6+
`ifndef GATE_LEVEL
67
bind prim_onehot_check prim_onehot_check_if #(
78
.AddrWidth (AddrWidth),
89
.OneHotWidth(OneHotWidth),
910
.AddrCheck (AddrCheck),
1011
.EnableCheck(EnableCheck),
1112
.StrictCheck(StrictCheck)
1213
) u_prim_onehot_check_if (.*);
14+
`endif
1315
endmodule

hw/dv/sv/sec_cm/sec_cm_prim_sparse_fsm_flop_bind.sv

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -3,8 +3,10 @@
33
// SPDX-License-Identifier: Apache-2.0
44

55
module sec_cm_prim_sparse_fsm_flop_bind ();
6+
`ifndef GATE_LEVEL
67
bind prim_sparse_fsm_flop prim_sparse_fsm_flop_if #(
78
.Width(Width),
89
.CustomForceName(CustomForceName)
910
) u_prim_sparse_fsm_flop_if (.*);
11+
`endif
1012
endmodule

hw/ip/clkmgr/dv/sva/clkmgr_bind.sv

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@
33
// SPDX-License-Identifier: Apache-2.0
44

55
module clkmgr_bind;
6-
6+
`ifndef GATE_LEVEL
77
bind clkmgr tlul_assert #(
88
.EndpointType("Device")
99
) tlul_assert_device (.clk_i, .rst_ni, .h2d(tl_i), .d2h(tl_o));
@@ -369,4 +369,5 @@ module clkmgr_bind;
369369
.step_down_acks_sync(u_clkmgr_byp.step_down_acks_sync),
370370
.extclk_ctrl_sel
371371
);
372+
`endif
372373
endmodule : clkmgr_bind

hw/ip/pwrmgr/dv/sva/pwrmgr_bind.sv

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@
33
// SPDX-License-Identifier: Apache-2.0
44

55
module pwrmgr_bind;
6-
6+
`ifndef GATE_LEVEL
77
bind pwrmgr tlul_assert #(
88
.EndpointType("Device")
99
) tlul_assert_device (.clk_i, .rst_ni, .h2d(tl_i), .d2h(tl_o));
@@ -81,4 +81,5 @@ module pwrmgr_bind;
8181
.rom_ctrl_done_i(u_fsm.rom_ctrl_done_i),
8282
.rom_ctrl_good_i(u_fsm.rom_ctrl_good_i)
8383
);
84+
`endif
8485
endmodule

hw/ip/rstmgr/dv/sva/rstmgr_bind.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@
33
// SPDX-License-Identifier: Apache-2.0
44

55
module rstmgr_bind;
6-
6+
`ifndef GATE_LEVEL
77
bind rstmgr tlul_assert #(
88
.EndpointType("Device")
99
) tlul_assert_device (.clk_i, .rst_ni, .h2d(tl_i), .d2h(tl_o));
@@ -69,5 +69,5 @@ module rstmgr_bind;
6969
resets_o.rst_spi_device_n[1]
7070
})
7171
);
72-
72+
`endif
7373
endmodule

hw/top_earlgrey/dv/autogen/tb__xbar_connect.sv

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -119,6 +119,7 @@ initial begin
119119
force tb.dut.top_earlgrey.u_xbar_main.rst_spi_host1_ni = rst_n;
120120
force tb.dut.top_earlgrey.u_xbar_peri.rst_peri_ni = rst_n;
121121

122+
`ifndef GATE_LEVEL
122123
`DRIVE_CHIP_TL_HOST_IF(rv_core_ibex__corei, rv_core_ibex, corei_tl_h)
123124
`DRIVE_CHIP_TL_HOST_IF(rv_core_ibex__cored, rv_core_ibex, cored_tl_h)
124125
`DRIVE_CHIP_TL_HOST_IF(rv_dm__sba, rv_dm, sba_tl_h)
@@ -172,7 +173,7 @@ initial begin
172173
`DRIVE_CHIP_TL_DEVICE_IF(sysrst_ctrl_aon, sysrst_ctrl_aon, tl)
173174
`DRIVE_CHIP_TL_DEVICE_IF(adc_ctrl_aon, adc_ctrl_aon, tl)
174175
`DRIVE_CHIP_TL_EXT_DEVICE_IF(ast, ast, tl)
175-
176+
`endif
176177

177178
// And this can consume time, so they go at the end of this block.
178179

hw/top_earlgrey/dv/chip_sim.core

Lines changed: 5 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -7,10 +7,13 @@ description: "Earlgrey chip DV sim target"
77
filesets:
88
files_rtl:
99
depend:
10-
- lowrisc:systems:top_earlgrey
10+
- "!gatelevel ? (lowrisc:systems:top_earlgrey)"
1111
- lowrisc:systems:top_earlgrey_pkg
12-
- lowrisc:systems:chip_earlgrey_asic
12+
- "!gatelevel ? (lowrisc:systems:chip_earlgrey_asic)"
1313
- lowrisc:ibex:ibex_tracer
14+
files:
15+
- "gatelevel ? (../../../../mapped.v)"
16+
file_type: systemVerilogSource
1417

1518
files_dv:
1619
depend:

hw/top_earlgrey/dv/env/ast_supply_if.sv

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -48,18 +48,22 @@ interface ast_supply_if (
4848

4949
// Wait some clock cycles due to various flops in the logic.
5050
task automatic reenable_vcmain_assertion();
51+
`ifndef GATE_LEVEL
5152
repeat (CyclesBeforeReenablingAssert) @(posedge clk);
5253
`uvm_info("ast_supply_if", "re-enabling vcmain_supp_i related SVA", UVM_MEDIUM)
5354
$asserton(1, top_earlgrey.u_pwrmgr_aon.u_slow_fsm.IntRstReq_A);
55+
`endif
5456
endtask
5557

5658
task static force_vcmain_pok(bit value);
59+
`ifndef GATE_LEVEL
5760
`uvm_info("ast_supply_if", $sformatf("forcing vcmain_pok_h_o to %b", value), UVM_MEDIUM)
5861
if (!value) begin
5962
`uvm_info("ast_supply_if", "disabling vcmain_supp_i related SVA", UVM_MEDIUM)
6063
$assertoff(1, top_earlgrey.u_pwrmgr_aon.u_slow_fsm.IntRstReq_A);
6164
end
6265
force u_ast.u_rglts_pdm_3p3v.vcmain_pok_h_o = value;
66+
`endif
6367
endtask
6468

6569
`define GLITCH_VCMAIN_POK \

0 commit comments

Comments
 (0)