Skip to content

Commit 0874b28

Browse files
committed
Upd modelsim simulation
1 parent b42344d commit 0874b28

File tree

6 files changed

+37
-42
lines changed

6 files changed

+37
-42
lines changed

.gitignore

Lines changed: 0 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,6 @@ sim/cocotb/*
77
!si5340_config_loader_tb.py
88
!wave.do
99
sim/modelsim/*
10-
!Manifest.py
1110
!wave.do
1211
syn/*
1312
!Manifest.py

README.md

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -56,11 +56,11 @@ py -m pytest test.py
5656
deactivate
5757
```
5858

59-
### Using hdlmake:
59+
### Using macrofile:
6060
```bash
6161
cd .\sim\modelsim\
62-
py -m hdlmake
63-
make
62+
vsim
63+
do wave.do
6464
```
6565

6666
### Using Verilator:

sim/modelsim/Manifest.py

Lines changed: 0 additions & 18 deletions
This file was deleted.

sim/modelsim/wave.do

Lines changed: 19 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,23 @@
1-
onerror {resume}
2-
quietly WaveActivateNextPane {} 0
1+
vlib work
2+
vmap work
33

4+
vlog ../../src/tb/si5340_config_loader_tb.sv
5+
vlog ../../src/tb/si5340_config_loader_if.sv
6+
vlog ../../src/tb/environment.sv
7+
vlog ../../src/i2c_master_bit_ctrl.v
8+
vlog ../../src/i2c_master_byte_ctrl.v
9+
vlog ../../src/i2c_master_defines.v
10+
vlog ../../src/timescale.v
11+
vlog ../../src/si5340_config_loader.sv
12+
vlog ../../src/i2c_ctrl_if.sv
13+
vlog ../../src/cfg_pkg.svh
14+
15+
vsim -voptargs="+acc" si5340_config_loader_tb
16+
add log -r /*
17+
18+
###############################
19+
# Add signals to time diagram #
20+
###############################
421
add wave -expand -color #ff9911 -radix hex -group TOP \
522
/si5340_config_loader_tb/dut/clk_i \
623
/si5340_config_loader_tb/dut/arstn_i \

src/tb/environment.sv

Lines changed: 14 additions & 17 deletions
Original file line numberDiff line numberDiff line change
@@ -14,34 +14,31 @@ class environment;
1414
this.dut_if = dut_if;
1515
endfunction
1616

17-
task init();
18-
begin
19-
dut_if.clk_i = 0;
20-
reset();
21-
read();
22-
write();
23-
end
24-
endtask
25-
26-
task reset();
17+
task rst_gen();
2718
begin
2819
dut_if.arstn_i = 0;
29-
$display("-----------------------------------------");
30-
$display("Reset at %g ns.", $time);
31-
$display("-----------------------------------------\n");
3220
#CLK_PER;
3321
dut_if.arstn_i = 1;
3422
end
3523
endtask
3624

37-
task write();
25+
task run();
26+
begin
27+
dut_if.clk_i = 0;
28+
rst_gen();
29+
rd_gen();
30+
wr_gen();
31+
end
32+
endtask
33+
34+
task wr_gen();
3835
begin
3936
repeat(NUMBER) begin
4037
dut_if.write_i = 1;
4138
dut_if.load_i = 1;
4239
$display("Load and Write at %g ns.", $time);
4340
$display("-----------------------------------------");
44-
#(CLK_PER*2);
41+
@(posedge dut_if.clk_i);
4542
dut_if.write_i = 0;
4643
dut_if.load_i = 0;
4744
#(CLK_PER*256);
@@ -52,14 +49,14 @@ class environment;
5249
end
5350
endtask
5451

55-
task read();
52+
task rd_gen();
5653
begin
5754
repeat(NUMBER) begin
5855
dut_if.write_i = 0;
5956
dut_if.load_i = 1;
6057
$display("Load and Read at %g ns.", $time);
6158
$display("-----------------------------------------");
62-
#(CLK_PER*2);
59+
@(posedge dut_if.clk_i);
6360
dut_if.write_i = 0;
6461
dut_if.load_i = 0;
6562
#(CLK_PER*256);

src/tb/si5340_config_loader_tb.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -30,7 +30,7 @@ module si5340_config_loader_tb();
3030
initial begin
3131
fork
3232
env = new(dut_if);
33-
env.init();
33+
env.run();
3434
join
3535
$finish;
3636
end

0 commit comments

Comments
 (0)