Skip to content

Commit 177f007

Browse files
committed
Upd tb
1 parent 0874b28 commit 177f007

31 files changed

+347
-63
lines changed

.gitignore

Lines changed: 4 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -1,18 +1,14 @@
1-
sim/verilator/*
2-
!si5340_config_loader_tb.py
3-
!si5340_config_loader.sv
4-
!test.py
5-
sim/cocotb/*
1+
sim/*
62
!test.py
73
!si5340_config_loader_tb.py
84
!wave.do
9-
sim/modelsim/*
10-
!wave.do
115
syn/*
126
!Manifest.py
137
!quartus_preflow.tcl
148
!si5340_config_loader.sdc
159
myenv
1610
obj_dir
1711
*.vcd
18-
*.v.bak
12+
*.v.bak
13+
*.wlf
14+
transcript

README.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -58,7 +58,7 @@ deactivate
5858

5959
### Using macrofile:
6060
```bash
61-
cd .\sim\modelsim\
61+
cd .\src\tb\
6262
vsim
6363
do wave.do
6464
```

sim/cocotb/test.py renamed to sim/test.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -6,7 +6,7 @@
66
from cocotb.runner import get_runner
77

88
def test_runner():
9-
src = Path("../../src")
9+
src = Path("../src")
1010

1111
hdl_toplevel_lang = os.getenv("HDL_TOPLEVEL_LANG", "verilog")
1212
sim = os.getenv("SIM", "questa")
File renamed without changes.

src/gtkw.gtkw

Lines changed: 31 additions & 12 deletions
Original file line numberDiff line numberDiff line change
@@ -1,15 +1,41 @@
11
[*]
22
[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI
3-
[*] Fri Dec 20 20:07:51 2024
3+
[*] Tue Dec 31 14:54:57 2024
44
[*]
55
[dumpfile] "/home/rds/fpga/my_projects/si5340-config-loader/src/si5340_config_loader_tb.vcd"
6-
[dumpfile_mtime] "Fri Dec 20 20:06:30 2024"
7-
[dumpfile_size] 135092
6+
[dumpfile_mtime] "Tue Dec 31 14:54:57 2024"
7+
[dumpfile_size] 135561
88
[savefile] "/home/rds/fpga/my_projects/si5340-config-loader/src/gtkw.gtkw"
99
[timestart] 0
10-
[size] 1920 1000
11-
[pos] -103 -103
10+
[size] 1920 916
11+
[pos] -1 -1
1212
*-21.498796 -1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
13+
[markername] AA
14+
[markername] BB
15+
[markername] CC
16+
[markername] DD
17+
[markername] EE
18+
[markername] FF
19+
[markername] GG
20+
[markername] HH
21+
[markername] II
22+
[markername] JJ
23+
[markername] KK
24+
[markername] LL
25+
[markername] MM
26+
[markername] NN
27+
[markername] OO
28+
[markername] PP
29+
[markername] QQ
30+
[markername] RR
31+
[markername] SS
32+
[markername] TT
33+
[markername] UU
34+
[markername] VV
35+
[markername] WW
36+
[markername] XX
37+
[markername] YY
38+
[markername] ZZ
1339
[treeopen] si5340_config_loader_tb.
1440
[sst_width] 314
1541
[signals_width] 200
@@ -18,7 +44,6 @@
1844
@22
1945
si5340_config_loader_tb.CLK_PER[31:0]
2046
si5340_config_loader_tb.PAUSE_NS[31:0]
21-
si5340_config_loader_tb.dut.CONFIG_MEM[79:0]
2247
si5340_config_loader_tb.dut.PAUSE_NS[31:0]
2348
si5340_config_loader_tb.dut.QUEUE_WIDTH[31:0]
2449
@28
@@ -147,12 +172,6 @@ si5340_config_loader_tb.dut.load_i
147172
@22
148173
si5340_config_loader_tb.dut.mem_index[8:0]
149174
si5340_config_loader_tb.dut.pause_cnt[3:0]
150-
si5340_config_loader_tb.dut.queue[0][10:0]
151-
si5340_config_loader_tb.dut.queue[1][10:0]
152-
si5340_config_loader_tb.dut.queue[2][10:0]
153-
si5340_config_loader_tb.dut.queue[3][10:0]
154-
si5340_config_loader_tb.dut.queue[4][10:0]
155-
si5340_config_loader_tb.dut.queue[5][10:0]
156175
@28
157176
si5340_config_loader_tb.dut.queue_index[2:0]
158177
si5340_config_loader_tb.dut.queue_len[2:0]

src/tb/environment.sv

Lines changed: 6 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -2,11 +2,9 @@
22
`define ENV_SV
33

44
`include "../timescale.v"
5-
65
class environment;
76

8-
localparam CLK_PER = 8;
9-
localparam NUMBER = 1;
7+
localparam NUMBER = 1;
108

119
local virtual si5340_config_loader_if dut_if;
1210

@@ -17,7 +15,7 @@ class environment;
1715
task rst_gen();
1816
begin
1917
dut_if.arstn_i = 0;
20-
#CLK_PER;
18+
@(posedge dut_if.clk_i);
2119
dut_if.arstn_i = 1;
2220
end
2321
endtask
@@ -41,10 +39,10 @@ class environment;
4139
@(posedge dut_if.clk_i);
4240
dut_if.write_i = 0;
4341
dut_if.load_i = 0;
44-
#(CLK_PER*256);
42+
repeat (256) @(posedge dut_if.clk_i);
4543
$display("Get cmd_ack at %g ns.", $time);
4644
$display("-----------------------------------------");
47-
#(CLK_PER*750);
45+
repeat (750) @(posedge dut_if.clk_i);
4846
end
4947
end
5048
endtask
@@ -59,10 +57,10 @@ class environment;
5957
@(posedge dut_if.clk_i);
6058
dut_if.write_i = 0;
6159
dut_if.load_i = 0;
62-
#(CLK_PER*256);
60+
repeat (256) @(posedge dut_if.clk_i);
6361
$display("Get cmd_ack at %g ns.", $time);
6462
$display("-----------------------------------------");
65-
#(CLK_PER*1300);
63+
repeat (1300) @(posedge dut_if.clk_i);
6664
end
6765
end
6866
endtask

src/tb/si5340_config_loader_tb.sv

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -2,16 +2,17 @@
22

33
/* verilator lint_off MODDUP */
44
module si5340_config_loader_tb();
5-
/* verilator lint_on MODDUP */
65

76
si5340_config_loader_if dut_if();
87
environment env;
98

109
localparam CLK_PER = 8;
1110
localparam PAUSE_NS = 10;
12-
11+
localparam CONFIG_MEM = "../config.mem";
12+
1313
si5340_config_loader #(
14-
.PAUSE_NS (PAUSE_NS)
14+
.CONFIG_MEM (CONFIG_MEM),
15+
.PAUSE_NS (PAUSE_NS )
1516
) dut (
1617
.clk_i (dut_if.clk_i ),
1718
.arstn_i (dut_if.arstn_i ),
@@ -38,7 +39,6 @@ module si5340_config_loader_tb();
3839
initial begin
3940
$dumpfile("si5340_config_loader_tb.vcd");
4041
$dumpvars(0, si5340_config_loader_tb);
41-
// $monitor("time=%g, load=%b, write=%b", $time, dut_if.load_i, dut_if.write_i);
4242
end
4343

4444
endmodule
Lines changed: 10 additions & 29 deletions
Original file line numberDiff line numberDiff line change
@@ -1,16 +1,16 @@
11
vlib work
22
vmap work
33

4-
vlog ../../src/tb/si5340_config_loader_tb.sv
5-
vlog ../../src/tb/si5340_config_loader_if.sv
6-
vlog ../../src/tb/environment.sv
7-
vlog ../../src/i2c_master_bit_ctrl.v
8-
vlog ../../src/i2c_master_byte_ctrl.v
9-
vlog ../../src/i2c_master_defines.v
10-
vlog ../../src/timescale.v
11-
vlog ../../src/si5340_config_loader.sv
12-
vlog ../../src/i2c_ctrl_if.sv
13-
vlog ../../src/cfg_pkg.svh
4+
vlog si5340_config_loader_tb.sv
5+
vlog si5340_config_loader_if.sv
6+
vlog environment.sv
7+
vlog ../i2c_master_bit_ctrl.v
8+
vlog ../i2c_master_byte_ctrl.v
9+
vlog ../i2c_master_defines.v
10+
vlog ../timescale.v
11+
vlog ../si5340_config_loader.sv
12+
vlog ../i2c_ctrl_if.sv
13+
vlog ../cfg_pkg.svh
1414

1515
vsim -voptargs="+acc" si5340_config_loader_tb
1616
add log -r /*
@@ -73,24 +73,5 @@ add wave -expand -color #ee66ff -radix hex -group I2C_CORE \
7373
/si5340_config_loader_tb/dut/i2c_inst/cnt_done \
7474
/si5340_config_loader_tb/dut/i2c_inst/c_state \
7575
76-
TreeUpdate [SetDefaultTree]
77-
WaveRestoreCursors {{Cursor 1} {7650915 ps} 0}
78-
quietly wave cursor active 1
79-
configure wave -namecolwidth 267
80-
configure wave -valuecolwidth 100
81-
configure wave -justifyvalue left
82-
configure wave -signalnamewidth 0
83-
configure wave -snapdistance 10
84-
configure wave -datasetprefix 0
85-
configure wave -rowmargin 4
86-
configure wave -childrowmargin 2
87-
configure wave -gridoffset 0
88-
configure wave -gridperiod 1
89-
configure wave -griddelta 40
90-
configure wave -timeline 0
91-
configure wave -timelineunits ns
92-
update
93-
WaveRestoreZoom {0 ps} {21 us}
94-
9576
run -all
9677
wave zoom full

src/tb/work/@_opt/_lib.qdb

48 KB
Binary file not shown.

0 commit comments

Comments
 (0)