- yosys
- OpenSTA
- ngspice
- iverilog
- gtkwave
- magic
$ git clone https://github.com/YosysHQ/yosys.git
//here I had created yosys directory in which github repo is cloned
$ cd yosys
$ sudo apt-get install build-essential clang bison flex
libreadline-dev gawk tcl-dev libffi-dev git
graphviz xdot pkg-config python3 libboost-system-dev
libboost-python-dev libboost-filesystem-dev zlib1g-dev
$ make
$ sudo make install
Useful link: yosys
$ git clone https://github.com/The-OpenROAD-Project/OpenSTA.git
$ cd OpenSTA
$ mkdir build
$ cd build
$ cmake ..
$ make
$ sudo apt-get install ngspice
$ sudo apt-get install iverilog
$ sudo apt-get install gtkwave
$ sudo apt-get install m4
$ sudo apt-get install tcsh
$ sudo apt-get install csh
$ sudo apt-get install libx11-dev
$ sudo apt-get install tcl-dev tk-dev
$ sudo apt-get install libcairo2-dev
$ sudo apt-get install mesa-common-dev libglu1-mesa-dev
$ sudo apt-get install libncurses-dev
- iverilog design_file.v testbench_design_file.v
// you can also give iverilog design_file.v testbench_design_file.v -o design_executable
- ./a.out
//this a.out executable file is generated or ./design_executable if you have specified any
- testbench_design_file.vcd
//vcd dump file is generated
- gtkwave testbench_design_file.vcd
//waveform viewer will openup with the input & output stimulus.
- read_liberty -lib $PATH/*.lib
// in our case read_liberty -lib ../lib/sky130_fd_sc_hd__tt_025C_1v80.lib
- you are reading the .lib content //all the models
- read_verilog design.v
//reading the design or loading the design
- synth -top design_name
//give design_name for it to be relevant
- read the statistics it prints to see the models it loads for the design.
- abc -liberty $PATH/*.lib
//technology mapping using ABC
- show design_name
//design_name given in the synthesis run step.3 "synth -top design_name"
- write_verilog -noattr design_name_netlist.v
//writing out the synthesized netlist with technology mapped logic gates
link: https://github.com/snallaga9/VSD-HDP-digital/wiki/WEEK-1#day-4--gls-gate-level-simulation